aboutsummaryrefslogtreecommitdiffstats
path: root/tests/700-hardware/raspberrypi/rpigpio.awl
blob: 30c863803d8e4bdf365be08e123511c827688138 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
ORGANIZATION_BLOCK OB 1
BEGIN
	// This test only works with the fake RPi.GPIO module
	// that directly wires outputs to inputs.

	L		MW 0
	L		0
	==I
	SPB		zero

	L		EW 0
	__ASSERT==	__ACCU 1,	W#16#0302
	SPA		out

zero:	L		EW 0
	__ASSERT==	__ACCU 1,	W#16#0000
	SPA		out


out:	L		MW 0
	XOW		W#16#FFFF
	T		MW 0
	T		AW 0


	L		MW 16
	+		1
	T		MW 16
	L		32
	<I
	BEB
	CALL		SFC 46
END_ORGANIZATION_BLOCK


ORGANIZATION_BLOCK OB 100
BEGIN
	L		0
	T		MW 0
	T		MW 16
	T		AW 0
END_ORGANIZATION_BLOCK
bues.ch cgit interface